Welcome![Sign In][Sign Up]
Location:
Search - matlab PLL

Search list

[matlabcmos_matlab_models

Description: cmos晶体管的matlab仿真和模拟,可以实现cmos晶体管的电压电流传输特性的模拟。-cmos transistors in Matlab simulation and modeling, cmos transistors can achieve the voltage and current characteristics of the transmission simulation.
Platform: | Size: 6144 | Author: zhulq | Hits:

[Compress-Decompress algrithmslock

Description: 锁相环程序,可以用。 主要是c语言风格的,在 matlab下也可以用-PLL procedures can be used. Mainly c-style language in matlab can also be used under
Platform: | Size: 3072 | Author: inx | Hits:

[RFIDdigitalPLL

Description: 数字锁相环实现源码,有很大的参考价值。 由 鉴相器 模K加减计数器 脉冲加减电路 同步建立侦察电路 模N分频器 构成.-DPLL realize source, has a great reference value. By the phase detector counter modulus K addition and subtraction circuit synchronous pulse addition and subtraction to establish surveillance mode N divider circuit constituted.
Platform: | Size: 2048 | Author: sharny | Hits:

[Communication-MobileMATLABFILES

Description: matlab在电力电子技术仿真中运用,包括PLL的具体仿真实现,一些滤波算法的实现,很有用-matlab simulation in power electronic technology to use, including the specific PLL simulation, a number of filtering algorithms to achieve useful
Platform: | Size: 152576 | Author: xulin | Hits:

[Communication-Mobilewb_digital_synthesizer.tar

Description: MIT的一个数字频综源代码,包括cadence的,CPPSIM(MIT做的PLL的设计软件)-MIT, a digital frequency synthesizer source code, including the cadence of, CPPSIM (MIT make the PLL design software)
Platform: | Size: 27648 | Author: hqh | Hits:

[matlabMatlab_model

Description: 在MATLAB环境下,对全数字锁相环的仿真,分析锁相环的性能参数-In the MATLAB environment, to all-digital phase-locked loop simulation, analysis of the performance parameters of phase-locked loop
Platform: | Size: 243712 | Author: 梁大法 | Hits:

[VHDL-FPGA-VerilogmyDPll

Description: 本人写的数字锁相环,有模拟数据,学习锁相环很好的材料。参考书“数字锁相环路原理与应用”编写。-I write the digital phase-locked loop, have simulated data, a good phase-locked loop learning materials. Reference book
Platform: | Size: 1024 | Author: 杨广 | Hits:

[matlabFractionalPLLDesign

Description: 是关于sigma delta PLL设计的详细论文,论文中有具体的设计细节,并在附录中有相应的matlab、vhdl code-Is about the design of sigma delta PLL detailed papers, papers in the specific design details, and in the appendix corresponding matlab, vhdl code
Platform: | Size: 3802112 | Author: xin | Hits:

[VHDL-FPGA-VerilogPhaseNoise

Description: 小数分频技术解决了锁相环频率合成器中的频率分辨率和转换时间的矛盾, 但是却引入了严重的相位噪声, 传统的相位补偿方法由于对Aö D 等数字器件的要求很高并具有滞后性实现难度较大。$2 调制器对噪声具有整形的功 能, 因而将多阶的$2 调制器用于小数分频合成器中可以很好地解决他的相位噪声的问题, 大大促进了小数分频技术的 发展和应用。文章最后给出了在GHz 量级上实现的这种新型小数分频合成器的应用电路, 并测得良好的相噪性能。-Fractional-N technology to solve the PLL frequency synthesizer in the frequency resolution and conversion time of contradictions, but the introduction of a serious phase noise, the traditional method of phase compensation A? D because of the number of devices, such as demanding and have the lag is more difficult to achieve. $ 2 modulator with noise shaping function, and thus will be more than the $ 2-order modulator for fractional-N synthesizer can be a good solution to his problem of phase noise, contributed significantly to the fractional-N technology development and applications. Finally, the article in the GHz order to achieve this new fractional-N synthesizer of the application circuit, and measured a good phase noise performance.
Platform: | Size: 286720 | Author: 朱成发 | Hits:

[VHDL-FPGA-Verilogloop

Description: 对锁相环路的仿真,二阶环的仿真与分析都可以通过这个文件来到完成-Simulation of PLL, second-order loop simulation and analysis can be completed by the adoption of the document came
Platform: | Size: 1024 | Author: 夺取 | Hits:

[matlabFrequencySynthesisbyPhaseLock

Description: 书籍频综和锁相环的Matlab源代码,对频综和锁相环的设计很有帮助;-Books PLL Frequency Synthesizer and the Matlab source code for PLL Frequency Synthesizer Design and helpful
Platform: | Size: 499712 | Author: wujianfeng | Hits:

[matlabpll

Description: FFT CALCUlation program
Platform: | Size: 1024 | Author: sudhanshu shekhar | Hits:

[VHDL-FPGA-Verilogpll

Description: 模拟锁相环(apll)的一些simulink模型-Analog phase-locked loop (apll) some simulink model
Platform: | Size: 734208 | Author: prescaler | Hits:

[Communication-MobilePllrrrr

Description: 锁相环(非科斯塔斯环) 对波动频率进行锁定,并且对信号进行解调。画图7个显示过程及参数-The phase locked loop(PLL),adjusts the phase of a local oscillator.the phase of the incoming signal is locked and the signal is demodulated show the process and references in 7 figures
Platform: | Size: 1024 | Author: 张欣蕊 | Hits:

[GPS developAms_7b_8a_8b

Description: PLL simulation in simulink
Platform: | Size: 3727360 | Author: Nabzi | Hits:

[matlabsimulink_labs

Description: 包括了对不同通信系统的simulink仿真,如AM, DSB-SC, FM, PLL, Data Acquistion, Digital Data Transmission, PCM and Delta Modulation。通过这些可以帮助用户对通信仿真有更深的理解。-This project allows you to learn the communication systems in greater depth by giving you the reins to play with it ! It contains the simulink files (*.mdl) which are block design files of various communication systems such as AM, DSB-SC, FM, PLL, Data Acquisition, Digital Data Transmission, PCM and Delta Modulation.
Platform: | Size: 2022400 | Author: yinwenyi | Hits:

[Education soft systemmatlab1

Description: 61549798pll_matlab[1]课程设计做的PLL,里面有关线性和非线性的都有,大家可以-61549798pll_matlab [1] course design done PLL, on the inside of both linear and nonlinear, we can
Platform: | Size: 4189184 | Author: hihi | Hits:

[matlabsimple_PLL

Description: This m_file uses a PLL to demodulate an FM modulated carrier
Platform: | Size: 2048 | Author: 张骅 | Hits:

[Communicationsimple_pll_3

Description: pll mode for matlab simulation
Platform: | Size: 14336 | Author: ylliu | Hits:

[OtherPLL_grt_rtw

Description: C语言实现了数字锁相环的程序,不过程序比较复杂,得参照MATLAB中 Discrete 3-phase pll模型-C language implementation of the DPLL procedure, but more complicated procedures, may refer to MATLAB, Discrete 3-phase pll model
Platform: | Size: 24576 | Author: 蔡科 | Hits:
« 1 2 3 4 56 7 8 9 10 11 12 »

CodeBus www.codebus.net